Welcome![Sign In][Sign Up]
Location:
Search - ask vhdl

Search list

[Other resourceASK.VHDL

Description: ASK调制VHDL程序及仿真 基于VHDL硬件描述语言,对基带信号进行ASK振幅调制-ASK modulation VHDL simulation based on the procedures and VHDL hardware description language, the baseband signal amplitude modulation ASK
Platform: | Size: 42322 | Author: 少龙 | Hits:

[VHDL-FPGA-VerilogASKDASK

Description: ask调制,基于VHDL仿真平台,解调同样给出,此程序经过验证-ask modulation, based on VHDL simulation platform, demodulator is the same, this procedure proven
Platform: | Size: 96256 | Author: we | Hits:

[VHDL-FPGA-Verilog国外的VHDL应用例子

Description: 国外的VHDL应用例子,大家可一好好参考一下!-abroad VHDL Application examples, we can make reference to a properly!
Platform: | Size: 232448 | Author: gjd | Hits:

[VHDL-FPGA-VerilogASK.VHDL

Description: ASK调制VHDL程序及仿真 基于VHDL硬件描述语言,对基带信号进行ASK振幅调制-ASK modulation VHDL simulation based on the procedures and VHDL hardware description language, the baseband signal amplitude modulation ASK
Platform: | Size: 41984 | Author: 少龙 | Hits:

[VHDL-FPGA-VerilogASK

Description: 出租车自动计价器设计,用于学习VHDL编程实现,FPGA的基本设计方案-Taxi automatic meter design for learning VHDL programming, FPGA s basic design
Platform: | Size: 120832 | Author: 严肃特 | Hits:

[VHDL-FPGA-VerilogEDA_LOCK_ALL

Description: 我EDA课程设计做的用VHDL 写的智能电子密码锁,在试验箱上实验的,4位并行密码,有报警功能与自锁功能。花了我1个星期的时间,希望对你有帮助。有什么问题可以来EMAIL问我哦。-I EDA curriculum design using VHDL to write to do the smart electronic locks, in the chamber on the experiment, four parallel passwords, has alarm function and self-locking function. I spent 1 weeks time, I hope you have help. What is the problem can come EMAIL Oh I ask.
Platform: | Size: 1005568 | Author: zw9882 | Hits:

[VHDL-FPGA-Verilogfpga

Description: fpga很有价值的27实例,包括步进电机定位控制系统VHDL程序与仿真、ASK调制与解调VHDL程序及仿真、TLC7524接口电路程序等非常实用。-FPGA valuable 27 examples, including the stepper motor positioning control system and simulation of VHDL program, ASK modulation and demodulation process and VHDL simulation, TLC7524 procedures interface circuit is very practical.
Platform: | Size: 1278976 | Author: 秦华 | Hits:

[CommunicationASK

Description: 通信系统的ASK调制程序,比较实用,包括完整的工程-ASK modulation communication system procedures, more practical, including the complete works
Platform: | Size: 290816 | Author: wanyou2345 | Hits:

[VHDL-FPGA-Verilogelecfans.com-74783742

Description: FPGA的重要实例,如PSK调制和解调,ASK,FSK-An important example of FPGA, such as PSK modulation and demodulation, ASK, FSK
Platform: | Size: 1278976 | Author: 钟莉 | Hits:

[VHDL-FPGA-Verilogask

Description: 提供一个把通信中ASK调制用VHDL来实现的例子,内附有相应的VHDL源程序。-To provide a communication ASK modulation achieved using VHDL example, enclosing a corresponding VHDL source code.
Platform: | Size: 66560 | Author: 靳朝 | Hits:

[VHDL-FPGA-VerilogASK_VHDL

Description: ASK调制VHDL程序 --文件名:PL_ASK --功能:基于VHDL硬件描述语言,对基带信号进行ASK振幅调制 -ASK modulation VHDL procedures- File Name: PL_ASK- features: VHDL hardware description language based on the base-band signal amplitude modulation ASK
Platform: | Size: 41984 | Author: huangsong | Hits:

[Speech/Voice recognition/combineASK

Description: 通信常用码型,仅供大家参考。是自己编的,实际也跑通了。-Communications code commonly used type, for your reference. Is own, actual also had a run.
Platform: | Size: 3072 | Author: mary | Hits:

[Embeded-SCM Developask

Description: VHDL语言编写的调制过程,其中包含了采样量化和编码的全部源代码-VHDL used for modulation
Platform: | Size: 2048 | Author: 李明 | Hits:

[VHDL-FPGA-Verilogask

Description: 基于CPLD的数字通信系统 ask序列 用VHDL产生 ask序列信号-CPLD-based digital communications system, ask the sequence generated by VHDL signal sequence ask
Platform: | Size: 3072 | Author: 石一鸣 | Hits:

[VHDL-FPGA-Verilogask

Description: 用VHDL语言实现ask调试,用VHDL语言实现ask调试-This program can do ask using VHDL
Platform: | Size: 1024 | Author: 董永鑫 | Hits:

[VHDL-FPGA-Verilog2ASK

Description: fpga很有价值的27实例,包括步进电机定位控制系统VHDL程序与仿真、ASK调制与解调VHDL程序及仿真、TLC7524接口电路程序等非常实用-WDERYY GERVGASrtgwbg rgavtv gvrfggrv gevwecgh evefrt5y3tfvgfgdg
Platform: | Size: 700416 | Author: nbonwenli | Hits:

[VHDL-FPGA-Verilog11

Description: ASK调制与解调系统VHDL程序及仿真-ASK modulation and demodulation system and simulation of VHDL procedures
Platform: | Size: 41984 | Author: jack wolf | Hits:

[SCMask

Description: 用简单的DDS方法产生的波形来调制ASK以及FSK,使其调制更简单-The DDS with a simple way to generate the waveform modulation ASK, PSK, FSK, make it more simple modulation
Platform: | Size: 105472 | Author: JINJIN | Hits:

[Communication-Mobileask

Description: 通信系统数字信号调制,振幅监控ask信号的调制和解调的VHDL代码-Communication systems digital signal modulation, amplitude control ask signal modulation and demodulation of the VHDL code for
Platform: | Size: 1024 | Author: lihao | Hits:

[VHDL-FPGA-Verilog2-ask

Description: 2-ASK调制解调的FPGA实现。ASK-TWO为调制程序,two-ASK为解调程序。-2-ASK modulation and demodulation of the FPGA. ASK-TWO for the modulation process, two-ASK for the demodulation process.
Platform: | Size: 1024 | Author: 张维 | Hits:
« 12 3 4 5 »

CodeBus www.codebus.net